Lilygo T3_V1.6.1 no reaction to button press

Hello,

I can’t seen to be able to get some reaction for pressing the User button on my HW. There’s no physical button but I’m shorting the IO0 pin to the ground. According to the src/configuration.h

#define BUTTON_PIN                                                                                                               \
    0 // If defined, this will be used for user button presses, if your board doesn't have a physical switch, you can wire one
      // between this pin and ground

the IO is 0 and I can also see that that pin has HI state (pull-up is active). Despite the very suspicious formatting of that define, how did it even compile?

Does it work for you or maybe I’m missing something?

ah, now I see the \ at the very very right. My terminal window size was too small to see it.

Hm, I’ve figured out that button is attached to IO12 and my HW is under

#define HW_VENDOR HardwareModel_TLORA_V2_1_1p6

thanks to me for helping me, you welcome

1 Like

Welcome to the community @vodkin

I’m glad you where able to figure it out on your own. This project hasn’t been as active as it use to be, but in my experience most projects like this have little to no community support so giving Meshtastic a try is still worth it IMHO.

I’m not 100% sure because I’m an amateur coder at best, but I remember some talks about how pins are ‘mapped’ for different boards.

Some of the boards have different versions where subtle changes were made. You may have uncovered something like that with your board and the internal mapping the project is using.

Or it could be something else entirely.

If you can share a photo of your board, preferably with any manufacture version numbers showing someone else may have an idea of what is going on and why the code comment doesn’t match your hardware.